Timing Library Format

Timing Library Format (abbreviated TLF) is a file format used by electronic design automation tools. A TLF file is a text file in nature[1] and contains timing and logical information about a collection of cells (circuit elements).

The TLF file contains information on the timing and power parameters of the cell library. It is used to determine delays of I/O ports and interconnects of the final design.

References

  1. "Timing Library Format Reference" (PDF). Cadence Design Systems. October 2000. Archived from the original (PDF) on 2021-05-06. Retrieved 6 May 2021.


This article is issued from Wikipedia. The text is licensed under Creative Commons - Attribution - Sharealike. Additional terms may apply for the media files.