3 nm process

In semiconductor manufacturing, the 3 nm process is the next die shrink after the 5 nanometer MOSFET (metal–oxide–semiconductor field-effect transistor) technology node. South Korean chipmaker Samsung started shipping its 3 nm gate all around (GAA) process, named 3GAA, in mid-2022.[1][2] On December 29, 2022, Taiwanese chip manufacturer TSMC announced that volume production using its 3 nm semiconductor node termed N3 is under way with good yields.[3] An enhanced 3 nm chip process called N3E may start production in 2023.[4] American manufacturer Intel plans to start 3 nm production in 2023.[5][6][7]

Samsung's 3 nm process is based on GAAFET (gate-all-around field-effect transistor) technology, a type of multi-gate MOSFET technology, while TSMC's 3 nm process still uses FinFET (fin field-effect transistor) technology,[8] despite TSMC developing GAAFET transistors.[9] Specifically, Samsung plans to use its own variant of GAAFET called MBCFET (multi-bridge channel field-effect transistor).[10] Intel's process dubbed "Intel 3" without the "nm" suffix will use a refined, enhanced and optimized version of FinFET technology compared to its previous process nodes in terms of performance gained per watt, use of EUV lithography, and power and area improvement.[11]

The term "3 nanometer" has no relation to any actual physical feature (such as gate length, metal pitch or gate pitch) of the transistors. According to the projections contained in the 2021 update of the International Roadmap for Devices and Systems published by IEEE Standards Association Industry Connection, a 3 nm node is expected to have a contacted gate pitch of 48 nanometers and a tightest metal pitch of 24 nanometers.[12]

ProcessGate pitchMetal pitchYear
5 nm51 nm30 nm2020
3 nm48 nm24 nm2022
2 nm45 nm20 nm2024?

However, in real world commercial practice, "3 nm" is used primarily as a marketing term by individual microchip manufacturers to refer to a new, improved generation of silicon semiconductor chips in terms of increased transistor density (i.e. a higher degree of miniaturization), increased speed and reduced power consumption.[13][14] There is no industry-wide agreement among different manufacturers about what numbers would define a 3 nm node. Typically the chip manufacturer refers to its own previous process node (in this case the 5 nm process node) for comparison. For example, TSMC has stated that its 3 nm FinFET chips will reduce power consumption by 25–30% at the same speed, increase speed by 10–15% at the same amount of power and increase transistor density by about 33% compared to its previous 5 nm FinFET chips.[15][16] On the other hand, Samsung has stated that its 3 nm process will reduce power consumption by 45%, improve performance by 23%, and decrease surface area by 16% compared to its previous 5 nm process.[17] EUV lithography faces new challenges at 3 nm which lead to the required use of multipatterning.[18]

History

Research and technology demos

In 1985, a Nippon Telegraph and Telephone (NTT) research team fabricated a MOSFET (NMOS) device with a channel length of 150 nm and gate oxide thickness of 2.5 nm.[19] In 1998, an Advanced Micro Devices (AMD) research team fabricated a MOSFET (NMOS) device with a channel length of 50 nm and oxide thickness of 1.3 nm.[20][21]

In 2003, a research team at NEC fabricated the first MOSFETs with a channel length of 3 nm, using the PMOS and NMOS processes.[22][23] In 2006, a team from the Korea Advanced Institute of Science and Technology (KAIST) and the National Nano Fab Center, developed a 3 nm width multi-gate MOSFET, the world's smallest nanoelectronic device, based on gate-all-around (GAAFET) technology.[24][25]

Commercialization history

In late 2016, TSMC announced plans to construct a 5 nm–3 nm node semiconductor fabrication plant with a co-commitment investment of around US$15.7 billion.[26]

In 2017, TSMC announced it was to begin construction of the 3 nm semiconductor fabrication plant at the Tainan Science Park in Taiwan.[27] TSMC plans to start volume production of the 3 nm process node in 2023.[28][29][30][31][32]

In early 2018, IMEC (Interuniversity Microelectronics Centre) and Cadence stated they had taped out 3 nm test chips, using extreme ultraviolet lithography (EUV) and 193 nm immersion lithography.[33]

In early 2019, Samsung presented plans to manufacture 3 nm GAAFET (gate-all-around field-effect transistors) at the 3 nm node in 2021, using its own MBCFET transistor structure that uses nanosheets; delivering a 35% performance increase, 50% power reduction and a 45% reduction in area when compared with 7 nm.[34][35][36] Samsung's semiconductor roadmap also included products at 8, 7, 6, 5, and 4 nm 'nodes'.[37][38]

In December 2019, Intel announced plans for 3 nm production in 2025.[39]

In January 2020, Samsung announced the production of the world's first 3 nm GAAFET process prototype, and said that it is targeting mass production in 2021.[40]

In August 2020, TSMC announced details of its N3 3 nm process, which is new rather than being an improvement over its N5 5 nm process.[41] Compared with the N5 process, the N3 process should offer a 10–15% (1.10–1.15×) increase in performance, or a 25–35% (1.25–1.35×) decrease in power consumption, with a 1.7× increase in logic density (a scaling factor of 0.58), a 20% increase (0.8 scaling factor) in SRAM cell density, and a 10% increase in analog circuitry density. Since many designs include considerably more SRAM than logic, (a common ratio being 70% SRAM to 30% logic) die shrinks are expected to only be of around 26%. TSMC plans volume production in the second half of 2022.[42]

In July 2021, Intel presented brand new process technology roadmap, according to which Intel 3 process, the company's second node to use EUV and the last one to use FinFET before switching to Intel's RibbonFET transistor architecture, is now scheduled to enter product manufacturing phase in H2 2023.[5]

In October 2021, Samsung adjusted earlier plans and announced that the company is scheduled to start producing its customers’ first 3 nm-based chip designs in the first half of 2022, while its second generation of 3 nm is expected in 2023.[43]

In June 2022, at TSMC Technology Symposium, the company shared details of its N3E process technology scheduled for volume production in 2023 H2: 1.6× higher logic transistor density, 1.3× higher chip transistor density, 10-15% higher performance at iso power or 30-35% lower power at iso performance compared to TSMC N5 v1.0 process technology, FinFLEX technology, allowing to intermix libraries with different track heights within a block etc. TSMC also introduced new members of 3 nm process family: high-density variant N3S, high-performance variants N3P and N3X, and N3RF for RF applications.[44][45][46]

In June 2022, Samsung started "initial" production of a low-power, high-performance chip using 3 nm process technology with GAA architecture.[1][47] According to industry sources, Qualcomm has reserved some of 3 nm production capacity from Samsung.[48]

On July 25, 2022, Samsung celebrated the first shipment of 3 nm Gate-All-Around chips to a Chinese cryptocurrency mining firm PanSemi.[49][50][51][52] It was revealed that the newly introduced 3 nm MBCFET process technology offers 16% higher transistor density,[53] 23% higher performance or 45% lower power draw compared to an unspecified 5 nm process technology.[54] Goals for the second-generation 3 nm process technology include up to 35% higher transistor density,[53] further reduction of power draw by up to 50% or higher performance by 30%.[54][55][53]

On December 29, 2022, TSMC announced that volume production using its 3 nm process technology N3 is under way with good yields.[3] The company plans to start volume manufacturing using refined 3 nm process technology called N3E in the second half of 2023.[56]

In December 2022, at IEDM 2022 conference, TSMC disclosed a few details about their 3 nm process technologies: contacted gate pitch of N3 is 45 nm, minimum metal pitch of N3E is 23 nm, and SRAM cell area is 0.0199 μm² for N3 and 0.021 μm² for N3E (same as in N5). For N3E process, depending on the number of fins in cells used for design, area scaling compared to N5 2-2 fin cells ranges from 0.64x to 0.85x, performance gains range from 11% to 32% and energy savings range from 12% to 30% (the numbers refer to Cortex-A72 core). TSMC's FinFlex technology allows to intermix cells with different number of fins in a single chip.[57][58][59][60]

Reporting from IEDM 2022, semiconductor industry expert Dick James stated that TSMC's 3 nm processes offered only incremental improvements, because limits have been reached for fin height, gate length, and number of fins per transistor (single fin). After implementation of features such as single diffusion break, contact over active gate and FinFlex, there will be no more room left for improvement of FinFET-based process technologies.[61]

In April 2023, at its Technology Symposium, TSMC revealed some details about their N3P and N3X processes the company had introduced earlier: N3P will offer 5% higher speed or 5%–10% lower power and 1.04× higher "chip density" compared to N3E, while N3X will offer 5% speed gain at the cost of ~3.5× higher leakage and the same density compared to N3P. N3P is scheduled to enter volume production in the second half of 2024, and N3X will follow in 2025.[62]

In July 2023, semiconductor industry research firm TechInsights said it has found that Samsung's 3 nm GAA (gate-all-around) process has been incorporated into the crypto miner ASIC (Whatsminer M56S++) from a Chinese manufacturer, MicroBT.[63]

On September 7, 2023, MediaTek and TSMC announced that MediaTek have developed their first 3 nm chip, volume production is expected to commence in 2024.[64]

On September 12, 2023, Apple announced the iPhone 15 Pro would feature a 3 nm chip, the A17 Pro.[65]

3 nm process nodes

Samsung[43][66][67][68] TSMC[69] Intel[5]
Process name 3GAE 3GAP 3GAP+ N3B N3E N3S N3P N3X 3

(renamed 7+ nm)

Transistor type MBCFET FinFET
Transistor density (MTr/mm2) 150[67] 195[67] Un­known 197[46] (theoretical)

183 (A17 Pro)[70]

215.6[71] Un­known 224.2[72] 224.2[72] Un­known
SRAM bit-cell size (μm2) Un­known Un­known Un­known 0.0199[59] 0.021[59] Un­known Un­known Un­known Un­known
Transistor gate pitch (nm) 40 Un­known Un­known 45[59] 48[71] Un­known Un­known Un­known Un­known
Interconnect pitch (nm) 32 Un­known Un­known Un­known 23[59] Un­known Un­known Un­known Un­known
Release status 2022 risk production[43]
2022 production[1]
2022 shipping[2]
2024 production 2025 production 2021 risk production
2022 H2 volume production[69][3]
2023 H1 shipping for revenue[73]
2023 H2 production[69] 2024 H1 production[46] 2024 H2 production[62] 2025 production[62] 2023 H2 product manufacturing[5]
2024 fabbing of Xeons[74]

References

  1. "Samsung Begins Chip Production Using 3nm Process Technology With GAA Architecture" (Press release). Samsung. Archived from the original on June 30, 2022. Retrieved June 30, 2022.
  2. "History is made! Samsung beats out TSMC and starts shipping 3nm GAA chipsets". July 25, 2022. Archived from the original on August 23, 2022. Retrieved August 23, 2022.
  3. "TSMC Kicks Off 3nm Production: A Long Node to Power Leading Chips". Tom's Hardware. December 29, 2022.
  4. Ramish Zafar (March 4, 2022). "TSMC Exceeds 3nm Yield Expectations & Production Can Start Sooner Than Planned". wccftech.com. Archived from the original on March 16, 2022. Retrieved March 19, 2022.
  5. Cutress, Dr Ian. "Intel's Process Roadmap to 2025: with 4nm, 3nm, 20A and 18A?!". AnandTech. Archived from the original on November 3, 2021. Retrieved July 27, 2021.
  6. Gartenberg, Chaim (July 26, 2021). "Intel has a new architecture roadmap and a plan to retake its chipmaking crown in 2025". The Verge. Archived from the original on December 20, 2021. Retrieved December 22, 2021.
  7. "Intel Technology Roadmaps and Milestones". Intel. Archived from the original on July 16, 2022. Retrieved February 17, 2022.
  8. Cutress, Dr Ian. "Where are my GAA-FETs? TSMC to Stay with FinFET for 3nm". AnandTech. Archived from the original on September 2, 2020. Retrieved September 12, 2020.
  9. "TSMC Plots an Aggressive Course for 3nm Lithography and Beyond – ExtremeTech". Extremetech.com. Archived from the original on September 22, 2020. Retrieved September 12, 2020.
  10. "Samsung at foundry event talks about 3nm, MBCFET developments". Techxplore.com. Archived from the original on November 22, 2021. Retrieved November 22, 2021.
  11. Patrick Moorhead (July 26, 2021). "Intel Updates IDM 2.0 Strategy With New Node Naming And Transistor And Packaging Technologies". Forbes. Archived from the original on October 18, 2021. Retrieved October 18, 2021.
  12. INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS™: More Moore, IEEE, 2021, p. 7, archived from the original on August 7, 2022, retrieved August 7, 2022
  13. "TSMC's 7nm, 5nm, and 3nm "are just numbers… it doesn't matter what the number is"". Pcgamesn.co. September 10, 2019. Archived from the original on June 17, 2020. Retrieved April 20, 2020.
  14. Samuel K. Moore (July 21, 2020). "A Better Way to Measure Progress in Semiconductors: It's time to throw out the old Moore's Law metric". IEEE Spectrum. IEEE. Archived from the original on December 2, 2020. Retrieved April 20, 2021.
  15. Jason Cross (August 25, 2020). "TSMC details its future 5nm and 3nm manufacturing processes—here's what it means for Apple silicon". Macworld. Archived from the original on April 20, 2021. Retrieved April 20, 2021.
  16. Anton Shilov (August 31, 2020). "The future of leading-edge chips according to TSMC: 5nm, 4nm, 3nm and beyond". Techradar.com. Archived from the original on April 20, 2021. Retrieved April 20, 2021.
  17. "Samsung Begins Chip Production Using 3nm Process Technology With GAA Architecture". June 30, 2022. Archived from the original on July 8, 2022. Retrieved July 8, 2022.
  18. Chen, Frederick (July 17, 2022). "EUV's Pupil Fill and Resist Limitations at 3nm". LinkedIn. Archived from the original on July 29, 2022.
  19. Kobayashi, Toshio; Horiguchi, Seiji; Miyake, M.; Oda, M.; Kiuchi, K. (December 1985). "Extremely high transconductance (Above 500 mS/Mm) MOSFET with 2.5 nm gate oxide". 1985 International Electron Devices Meeting. pp. 761–763. doi:10.1109/IEDM.1985.191088. S2CID 22309664.
  20. Ahmed, Khaled Z.; Ibok, Effiong E.; Song, Miryeong; Yeap, Geoffrey; Xiang, Qi; Bang, David S.; Lin, Ming-Ren (1998). "Performance and reliability of sub-100 nm MOSFETs with ultra thin direct tunneling gate oxides". 1998 Symposium on VLSI Technology Digest of Technical Papers (Cat. No.98CH36216). pp. 160–161. doi:10.1109/VLSIT.1998.689240. ISBN 0-7803-4770-6. S2CID 109823217.
  21. Ahmed, Khaled Z.; Ibok, Effiong E.; Song, Miryeong; Yeap, Geoffrey; Xiang, Qi; Bang, David S.; Lin, Ming-Ren (1998). "Sub-100 nm nMOSFETs with direct tunneling thermal, nitrous and nitric oxides". 56th Annual Device Research Conference Digest (Cat. No.98TH8373). pp. 10–11. doi:10.1109/DRC.1998.731099. ISBN 0-7803-4995-4. S2CID 1849364.
  22. Schwierz, Frank; Wong, Hei; Liou, Juin J. (2010). Nanometer CMOS. Pan Stanford Publishing. p. 17. ISBN 9789814241083. Archived from the original on May 24, 2020. Retrieved October 11, 2019.
  23. Wakabayashi, Hitoshi; Yamagami, Shigeharu; Ikezawa, Nobuyuki; Ogura, Atsushi; Narihiro, Mitsuru; Arai, K.; Ochiai, Y.; Takeuchi, K.; Yamamoto, T.; Mogami, T. (December 2003). Sub-10-nm planar-bulk-CMOS devices using lateral junction control. IEEE International Electron Devices Meeting 2003. pp. 20.7.1–20.7.3. doi:10.1109/IEDM.2003.1269446. ISBN 0-7803-7872-5. S2CID 2100267.
  24. "Still Room at the Bottom (nanometer transistor developed by Yang-kyu Choi from the Korea Advanced Institute of Science and Technology )", Nanoparticle News, April 1, 2006, archived from the original on November 6, 2012
  25. Lee, Hyunjin; Choi, Yang-Kyu; Yu, Lee-Eun; Ryu, Seong-Wan; Han, Jin-Woo; Jeon, K.; Jang, D.Y.; Kim, Kuk-Hwan; Lee, Ju-Hyun; et al. (June 2006). "Sub-5nm All-Around Gate FinFET for Ultimate Scaling". 2006 Symposium on VLSI Technology, 2006. Digest of Technical Papers. pp. 58–59. doi:10.1109/VLSIT.2006.1705215. hdl:10203/698. ISBN 978-1-4244-0005-8. S2CID 26482358.
  26. Patterson, Alan (December 12, 2016), "TSMC Plans New Fab for 3nm", EE Times, retrieved July 22, 2023
  27. Patterson, Alan (October 2, 2017), "TSMC Aims to Build World's First 3-nm Fab", EE Times, retrieved July 22, 2023
  28. Zafar, Ramish (May 15, 2019). "TSMC To Commence 2nm Research In Hsinchu, Taiwan Claims Report". Wccftech.com. Archived from the original on November 7, 2020. Retrieved December 6, 2019.
  29. "TSMC to start production on 5nm in second half of 2020, 3nm in 2022". Techspot.com. December 8, 2019. Archived from the original on December 19, 2019. Retrieved January 12, 2020.
  30. Armasu 2019-12-06T20:26:59Z, Lucian (December 6, 2019). "Report: TSMC To Start 3nm Volume Production In 2022". Tom's Hardware. Archived from the original on September 15, 2022. Retrieved December 19, 2019.
  31. "TSMC 3nm process fab starts construction - mass production in 2023". Gizchina.com. October 25, 2019. Archived from the original on January 12, 2020. Retrieved January 12, 2020.
  32. Friedman, Alan (October 27, 2019). "TSMC starts constructing facilities to turn out 3nm chips by 2023". Phone Arena. Archived from the original on January 12, 2020. Retrieved January 12, 2020.
  33. "Imec and Cadence Tape Out Industry's First 3nm Test Chip". Cadence (Press release). February 28, 2018.
  34. "Samsung Unveils 3nm Gate-All-Around Design Tools - ExtremeTech". ExtremeTech. Retrieved July 22, 2023.
  35. Armasu, Lucian (January 11, 2019), "Samsung Plans Mass Production of 3nm GAAFET Chips in 2021", Tom's Hardware, archived from the original on December 6, 2019, retrieved December 6, 2019
  36. Samsung: 3nm process is one year ahead of TSMC in GAA and three years ahead of Intel, August 6, 2019, archived from the original on September 15, 2022, retrieved April 18, 2019
  37. Armasu, Lucian (May 25, 2017), "Samsung Reveals 4nm Process Generation, Full Foundry Roadmap", Tom's Hardware, archived from the original on September 15, 2022, retrieved April 18, 2019
  38. Cutress, Ian. "Samsung Announces 3nm GAA MBCFET PDK, Version 0.1". AnandTech. Archived from the original on October 14, 2019. Retrieved December 19, 2019.
  39. Cutress, Dr Ian. "Intel's Manufacturing Roadmap from 2019 to 2029: Back Porting, 7nm, 5nm, 3nm, 2nm, and 1.4 nm". AnandTech. Archived from the original on January 12, 2021. Retrieved December 11, 2019.
  40. Broekhuijsen 2020-01-03T16:28:57Z, Niels (January 3, 2020). "Samsung Prototypes First Ever 3nm GAAFET Semiconductor". Tom's Hardware. Archived from the original on September 15, 2022. Retrieved February 10, 2020.
  41. Shilov, Anton. "TSMC: 3nm EUV Development Progress Going Well, Early Customers Engaged". AnandTech. Archived from the original on September 3, 2020. Retrieved September 12, 2020.
  42. "TSMC roadmap update: N3E in 2024, N2 in 2026, major changes incoming". AnandTech. April 22, 2022. Archived from the original on May 9, 2022. Retrieved May 12, 2022.
  43. "Samsung Foundry Innovations Power the Future of Big Data, AI/ML and Smart, Connected Devices" (Press release). Samsung. October 7, 2021. Archived from the original on April 8, 2022. Retrieved March 23, 2022.
  44. "TSMC Technology Symposium Review". SemiWiki. June 22, 2022.
  45. "TSMC Readies Five 3nm Process Technologies, Adds FinFlex For Design Flexibility". AnandTech. June 16, 2022.
  46. "N3E Replaces N3; Comes In Many Flavors". WikiChip Fuse. September 4, 2022.
  47. "Samsung Starts 3nm Production: The Gate-All-Around (GAAFET) Era Begins". AnandTech. June 30, 2022. Archived from the original on July 7, 2022. Retrieved July 7, 2022.
  48. "Samsung Electronics begins 'trial production' of 3-nano foundry...The first customer is a Chinese ASIC company". TheElec. June 28, 2022. Archived from the original on July 28, 2022. Retrieved July 28, 2022.
  49. "Samsung's 3nm trial production run this week to make Bitcoin miner chips". SamMobile. June 28, 2022. Archived from the original on July 27, 2022. Retrieved July 27, 2022.
  50. "Samsung ships its first set of 3nm chips, marking an important milestone". SamMobile. July 25, 2022. Archived from the original on July 27, 2022. Retrieved July 27, 2022.
  51. "Samsung celebrates the first shipment of 3nm Gate-All-Around chips". www.gsmarena.com. July 25, 2022. Archived from the original on July 26, 2022. Retrieved July 26, 2022.
  52. "Samsung Electronics Holds 3 Nano Foundry Mass Production Shipment Ceremony" (Press release). Samsung. July 25, 2022.
  53. "Samsung holds ceremony to mark 1st shipment of most advanced 3nm chips". Yonhap News Agency. July 25, 2022. Archived from the original on July 28, 2022. Retrieved July 28, 2022.
  54. "Samsung Begins Chip Production Using 3nm Process Technology with GAA Architecture". BusinessWire. June 29, 2022. Archived from the original on July 28, 2022. Retrieved July 28, 2022.
  55. "Samsung starts shipping world's first 3nm chips". The Korea Herald. July 25, 2022. Archived from the original on July 27, 2022. Retrieved July 27, 2022.
  56. "TSMC's 3nm Journey: Slow Ramp, Huge Investments, Big Future". AnandTech. January 17, 2023.
  57. Patel, Dylan (December 21, 2022). "TSMC's 3nm Conundrum, Does It Even Make Sense? – N3 & N3E Process Technology & Cost Detailed". SemiAnalysis.
  58. Patel, Dylan (February 2, 2023). "IEDM 2022 Round-Up". SemiAnalysis.
  59. Jones, Scotten (February 1, 2023). "IEDM 2022 – TSMC 3nm". SemiWiki.
  60. Schor, David (December 14, 2022). "IEDM 2022: Did We Just Witness The Death Of SRAM?". WikiChip Fuse.
  61. James, Dick. "TSMC Reveals 3nm Process Details". TechInsights. Retrieved February 16, 2023.
  62. "TSMC Details 3nm Evolution: N3E On Schedule, N3P and N3X To Deliver 5% Performance Gains". AnandTech. April 26, 2023.
  63. "TechInsights: Samsung's 3nm GAA process identified in a crypto-mining ASIC designed by China startup MicroBT". DIGITIMES. July 18, 2023. Retrieved July 21, 2023.
  64. Neowin ·, Omer Dursun (September 7, 2023). "MediaTek develops its first 3nm chip using TSMC process, coming in 2024". Neowin. Retrieved September 7, 2023.
  65. "iPhone 15 Pro and iPhone 15 Pro Max". Apple. Retrieved September 12, 2023.
  66. "Can TSMC maintain their process technology lead". SemiWiki. April 29, 2020. Archived from the original on May 13, 2022. Retrieved May 14, 2022.
  67. "Samsung 3nm GAAFET Enters Risk Production; Discusses Next-Gen Improvements". WikiChip Fuse. July 5, 2022.
  68. "Samsung Foundry Vows to Surpass TSMC within Five Years". AnandTech.
  69. "TSMC 3nm". www.tsmc.com. April 15, 2022. Archived from the original on April 20, 2022. Retrieved April 15, 2022.
  70. "A17 Pro Overall Analysis". X (formerly Twitter). Retrieved September 26, 2023.
  71. "TSMC N3, and Challenges Ahead". May 27, 2023.
  72. "TSMC Details 3nm Evolution: N3E On Schedule, N3P and N3X To Deliver 5% Performance Gains". April 26, 2023.
  73. "TSMC Q2 2022 Earnings Call" (PDF). TSMC. July 14, 2022. Archived (PDF) from the original on July 15, 2022. Retrieved July 22, 2022.
  74. Cutress, Dr Ian (February 17, 2022). "Intel Discloses Multi-Generation Xeon Scalable Roadmap: New E-Core Only Xeons in 2024". AnandTech. Archived from the original on March 15, 2022. Retrieved March 23, 2022.

Further reading

  • Lapedus, Mark (June 21, 2018), "Big Trouble At 3nm", semiengineering.com
  • Bae, Geumjong; Bae, D.-I.; Kang, M.; Hwang, S.M.; Kim, S.S.; Seo, B.; Kwon, T.Y.; Lee, T.J.; Moon, C.; Choi, Y.M.; Oikawa, K.; Masuoka, S.; Chun, K.Y.; Park, S.H.; Shin, H.J.; Kim, J.C.; Bhuwalka, K.K.; Kim, D.H.; Kim, W.J.; Yoo, J.; Jeon, H.Y.; Yang, M.S.; Chung, S.-J.; Kim, D.; Ham, B.H.; Park, K.J.; Kim, W.D.; Park, S.H.; Song, G.; et al. (December 2018). 3nm GAA Technology featuring Multi-Bridge-Channel FET for Low Power and High Performance Applications. 2018 IEEE International Electron Devices Meeting (IEDM). pp. 28.7.1–28.7.4. doi:10.1109/IEDM.2018.8614629. ISBN 978-1-7281-1987-8. S2CID 58673284.
Preceded by
5 nm (FinFET)
MOSFET semiconductor device fabrication process Succeeded by
2 nm (GAAFET)
This article is issued from Wikipedia. The text is licensed under Creative Commons - Attribution - Sharealike. Additional terms may apply for the media files.